Hier finden Sie das NEWSLETTER Archiv Mehr ...
Becker Buchta MT-Messtechnik ClearClean

reinraum online
Deutsch   English


  • Konferenz

Imec demonstriert die Bereitschaft des High-NA EUV Patterning Ökosystems

Fortschritte bei Prozessen, Masken und Messtechnik erlauben es, den Fortschritt bei der Auflösung, den der erste ASML 0.55NA EUV-Scanner bietet, voll zu nutzen.

Montage eines High-NA EUV-Tools im gemeinsamen High-NA-Labor von imec und ASML am Hauptsitz von ASML in Veldhoven, Niederlande. (Bildnachweis: ASML) / Assembly of a High NA EUV tool in joint imec-ASML High-NA lab at ASML’s headquarters in Veldhoven, the Netherlands. (Credit: ASML)
Montage eines High-NA EUV-Tools im gemeinsamen High-NA-Labor von imec und ASML am Hauptsitz von ASML in Veldhoven, Niederlande. (Bildnachweis: ASML) / Assembly of a High NA EUV tool in joint imec-ASML High-NA lab at ASML’s headquarters in Veldhoven, the Netherlands. (Credit: ASML)

Diese Woche präsentiert imec, ein weltweit führendes Forschungs- und Innovationszentrum für Nanoelektronik und digitale Technologien, auf der 2024 Advanced Lithography + Patterning Conference die Fortschritte bei EUV-Prozessen, Masken und Metrologie, die für die extreme Ultraviolett-Lithographie (EUV) mit hoher numerischer Apertur (High-NA) entwickelt wurden. Die wichtigsten Errungenschaften betreffen die Entwicklung von Fotolacken und Grundierungen, Maskenoptimierung, die Entwicklung der Optical Proximity Correction (OPC), das Field Stitching mit hoher Auflösung, die Verringerung stochastischer Fehler und die Verbesserung von Metrologie und Inspektion. Mit diesen Ergebnissen zeigt imec, dass es bereit ist, die EUV-Prozesse in das gemeinsame High-NA EUV-Labor von imec und ASML zu übertragen, das um den ersten Prototyp eines High-NA EUV-Scanners herum konstruiert wurde.

Steven Scheer, Senior Vice President von Advanced Patterning, Process and Materials bei imec: "Der erste High-NA EUV-Scanner (TWINSCAN EXE:5000) wurde von ASML installiert und die ersten Wafer werden bald belichtet. In den nächsten Monaten wird das gemeinsame High-NA EUV-Labor von imec und ASML in Betrieb genommen und den High-NA-Kunden zugänglich gemacht werden. Das High-NA EUV-Labor mit der installierten Ausrüstung und den Prozessen ermöglicht den Kunden einen frühzeitigen Einstieg in das High-NA EUV-Training, bevor die Tools in ihren Produktionsstätten betriebsbereit sind. Es ist die Aufgabe von imec, in enger Zusammenarbeit mit ASML und unserem ausgedehnten Lieferantennetzwerk die rechtzeitige Verfügbarkeit von fortschrittlichen Resistmaterialien, Fotomasken, Messtechniken, (anamorphen) Abbildungsstrategien und Strukturierungstechniken sicherzustellen. Die Verfügbarkeit dieser Prozesse für High-NA wird in mehr als 25 Vorträgen auf der SPIE Adv Litho & Patt Conference 2024 vorgestellt." 

Field Stitching ist ein Schlüsselfaktor für High-NA: Field Stitching ist aufgrund des anamorphotischen Objektivs (d.h. ein Objektiv mit unterschiedlicher Vergrößerung in x- und y-Richtung) erforderlich, was zu Feldgrößen führt, die nur halb so groß sind wie die eines herkömmlichen Scanners. Imec wird über die neuesten Erkenntnisse berichten, die das Stitching bei hoher Auflösung ermöglichen, basierend auf der Arbeit mit ASML und unseren Maskenproduktionspartnern am NXE:3400C Scanner von imec. Das Stitching mit hoher Auflösung reduziert die Notwendigkeit von Designänderungen, um mit der Reduzierung der Bildfeldgröße mitzuhalten. 

Auf der Material- und Prozessseite ist es klar, dass Metalloxid-Resists (MOR) für Metalllinien und Muster immer noch an der Spitze stehen. Imec wird die Fortschritte von MOR hinsichtlich der Reduzierung der EUV-Dosis im Verhältnis zum Ertrag vorstellen. Die Auswahl der spezifischen Grundschicht, die Optimierung des Entwicklungsprozesses, die Wahl des Maskenabsorbers, des Maskenbias und der Maskentonalität führten zu einer Reduktion der EUV-Dosis für Linien und Flächen um mehr als 20%, ohne dass sich die Rauheit oder stochastische Ausfälle erhöhten. Auch die Tip-to-Tip-Abmessungen wurden durch diese Maßnahmen zur Dosisreduzierung nicht negativ beeinträchtigt. Die Arbeit zur Dosisreduzierung wird fortgesetzt und von unseren Chip-Produzenten sehr geschätzt, da sie aufgrund des höheren Scanner-Durchsatzes zu einer Reduzierung der EUV-Kosten führt.

Ein unerwartetes Ergebnis wurde durch die Verwendung von MOR-Resists mit einer binären Hellfeldmaske für die Kontaktlochstrukturierung erzielt. Im Vergleich zu einem chemisch verstärkten Positivton-Resist (CAR) und einer binären Dunkelfeldmaske, die im gleichen Stack übertragen wurden, wurde nach dem Mustertransfer eine Dosisreduzierung von 6 % und eine Verbesserung der lokalen CD-Uniformität (LCDU) von 30 % erzielt. Ein verbleibendes Problem bei Hellfeldmasken für Kontaktlöcher ist die Qualität und Defektivität der Maske. Dies muss sorgfältig untersucht werden, um MOR zu einer Option für Kontaktlöcher zu machen. Bis dahin werden positiv getönte CAR-Resists mit Dunkelfeldmasken die führenden Kandidaten für die Kontakt- und Durchkontaktierung im High-NA EUV bleiben.

High-NA erfordert auch Verbesserungen in der Messtechnik und Inspektion, um der höheren Auflösung (durch die hohe NA) und den dünneren Schichten (durch die reduzierte Schärfentiefe (DOF)) gerecht zu werden. Imec wird neue Ergebnisse zur E-Beam- und Deep-UV (DUV)-Inspektion vorstellen, die zeigen, dass es neue bewährte Methoden (BKMs) gibt, um für High-NA relevante stochastische Strukturierungsfehler, wie hexagonale Kontaktlöcher, zu finden. Darüber hinaus werden verschiedene Techniken des maschinellen Lernens (basierend auf der Entrauschung von REM-Mikrofotografien) vorgeschlagen, um die Inspektion und Klassifizierung kleiner Defekte zu erleichtern.

Schließlich werden imec und seine Partner Verbesserungen bei der Bildgebung durch Optimierungen der Quellmaske und der anamorphotischen Maske OPC (unter Berücksichtigung der Notwendigkeit des Stitching) vorstellen.


IMEC Belgium
3001 Leuven
Belgien

Veröffentlichungen: Weitere Veröffentlichungen dieses Unternehmens / Autors

Weitere Artikel zu diesen Rubriken: Wissen & Events: Konferenz


Besser informiert: Mit JAHRBUCH, NEWSLETTER, NEWSFLASH und EXPERTEN VERZEICHNIS

Bleiben Sie auf dem Laufenden und abonnieren Sie unseren monatlichen eMail-NEWSLETTER und unseren NEWSFLASH. Lassen Sie sich zusätzlich mit unserem gedruckten JAHRBUCH darüber informieren, was in der Welt der Reinräume passiert. Und erfahren Sie mit unserem Verzeichnis, wer die EXPERTEN im Reinraum sind.

C-Tec Vaisala PPS PMS