Here you can find the NEWSLETTER archive More ...
ClearClean Hydroflex Vaisala C-Tec

cleanroom online
Deutsch   English


  • Conference

Imec Shows Path to Line Resistance Halving using Semi-Damascene with High-Aspect-Ratio Processing

TEM-Querschnitte von Ru-Bahnen mit 18 nm Metallabstand: (links) AR 3, (rechts) AR 6. Die TEMs zeigen ein nahezu vertikales Profil der Ru-Bahnen und die Skalierbarkeit des aktuellen Schemas zu höheren ARs. / Cross-section TEMs of Ru lines with 18nm metal pitch: (left) AR 3, (right) AR 6. The TEMs demonstrate a nearly vertical profile of the Ru lines and scalability of the current scheme towards higher ARs.
TEM-Querschnitte von Ru-Bahnen mit 18 nm Metallabstand: (links) AR 3, (rechts) AR 6. Die TEMs zeigen ein nahezu vertikales Profil der Ru-Bahnen und die Skalierbarkeit des aktuellen Schemas zu höheren ARs. / Cross-section TEMs of Ru lines with 18nm metal pitch: (left) AR 3, (right) AR 6. The TEMs demonstrate a nearly vertical profile of the Ru lines and scalability of the current scheme towards higher ARs.

At the 2022 IEEE International Interconnect Technology Conference (IITC 2022), imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, presented options to reduce the metal line resistance at tight metal pitches, mitigating the resistance/capacitance (RC) increase of future interconnects using direct metal patterning. For the first time, high aspect ratio (AR=6) processing of ruthenium (Ru) in a semi-damascene fashion is experimentally shown to result in about 40% resistance reduction without sacrificing area. Additional simulations confirm the benefits at circuit level in combination with airgaps as dielectrics. A complementary experimental study shows that the reliability of semi-damascene with airgaps is competitive when compared to dual-damascene with low-k dielectrics.

After providing the first experimental demonstration of a functional two-metal-level semi-damascene module at 18nm metal pitch, AR=3, with fully self-aligned vias at VLSI 2022, imec proposes to extend this integration scheme to further reduce the line resistance of the Ru interconnects, while keeping the same footprint. This can be achieved by high-AR processing of the Ru lines using an advanced subtractive metal etch process. Zsolt Tokei: “We measured a significant line resistance reduction of about 40% on Ru lines with AR=6 compared to lines with conventional AR=3. In addition, we showed the benefits at circuit level of implementing high-AR semi-damascene lines with airgaps.” In a benchmark study, imec also demonstrated that the semi-damascene flow with airgaps is reliable with more than 10 years lifetime. 

“With no less than 10 oral presentations at this year’s IITC, addressing the main challenges in interconnect scaling, imec has a proven path to push the interconnect roadmap for the coming ten years,” concludes Zsolt Tokei. “The papers cover advances in semi-damascene integration as a promising interconnect scheme for future logic nodes, memory technologies and highlight progress in middle-of-line (MOL) metallization schemes, dielectrics, alternative metals exploration, and reliability.”


IMEC Belgium
3001 Leuven
Belgium

Publications: Further publications by this company / author

Other articles under these categories: Knowledge & Events: Conference


Better informed: With YEARBOOK, NEWSLETTER, NEWSFLASH and EXPERT DIRECTORY

Stay up to date and subscribe to our monthly eMail-NEWSLETTER and our NEWSFLASH. Get additional information about what is happening in the world of cleanrooms with our printed YEARBOOK. And find out who the cleanroom EXPERTS are with our directory.

PPS HJM Buchta MT-Messtechnik